We read every piece of feedback, and take your input very seriously.
To see all available qualifiers, see our documentation.
There was an error while loading. Please reload this page.
1 parent 1c08a1e commit 6ab0926Copy full SHA for 6ab0926
tests/top_tb_header.vh
@@ -21,10 +21,13 @@
21
end
22
23
module top_tb;
24
- initial begin
25
- $dumpfile("test.vcd");
26
- $dumpvars(0, dut);
27
- end
+ /* By instantiating vlog_tb_utils we get access to some
+ extra functionality that can be activated by plusargs at
+ runtime.
+ fusesoc run --target=simple_spi_in_test TinyFPGA-Bootloader --help
28
+ will list all available options. Refer to this for details
29
+ */
30
+ vlog_tb_utils vtu();
31
32
/* Set a default name for the tap file.
33
Doesn't necessarily have to be unique as each target
0 commit comments